6

3-D WiRED: A Novel WIDE I/O DRAM With Energy-Efficient 3-D Bank Organization

Year:
2015
Language:
english
File:
PDF, 1.72 MB
english, 2015